_            _    _        _         _
      /\ \         /\ \ /\ \     /\_\      / /\
      \_\ \       /  \ \\ \ \   / / /     / /  \
      /\__ \     / /\ \ \\ \ \_/ / /     / / /\ \__
     / /_ \ \   / / /\ \ \\ \___/ /     / / /\ \___\
    / / /\ \ \ / / /  \ \_\\ \ \_/      \ \ \ \/___/
   / / /  \/_// / /   / / / \ \ \        \ \ \
  / / /      / / /   / / /   \ \ \   _    \ \ \
 / / /      / / /___/ / /     \ \ \ /_/\__/ / /
/_/ /      / / /____\/ /       \ \_\\ \/___/ /
\_\/       \/_________/         \/_/ \_____\/

Enter the query into the form above. You can look for specific version of a package by using @ symbol like this: gcc@10.

API method:

GET /api/packages?search=hello&page=1&limit=20

where search is your query, page is a page number and limit is a number of items on a single page. Pagination information (such as a number of pages and etc) is returned in response headers.

If you'd like to join our channel webring send a patch to ~whereiseveryone/toys@lists.sr.ht adding your channel as an entry in channels.scm.


python-sc-surelog 1.84.1
Channel: electronics
Location: electronics/packages/chipsalliance.scm (electronics packages chipsalliance)
Home page: https://github.com/siliconcompiler/sc-surelog/
Licenses: ASL 2.0
Synopsis: Python wrapper for Surelog
Description:

Sc-surelog provides a Python interface to access Surelog.

surelog 1.84
Dependencies: capnproto@1.2.0 openssl@3.0.8 python-orderedmultidict@1.0.1 zlib@1.3.1 openjdk@24.0.1 perl@5.36.0 python-psutil@7.0.0 tcl@8.6.12 tcsh@6.24.15
Channel: electronics
Location: electronics/packages/chipsalliance.scm (electronics packages chipsalliance)
Home page: https://github.com/chipsalliance/Surelog/
Licenses: ASL 2.0
Synopsis: Pre-procesor and parser for SystemVerilog 2017
Description:

Surelog is a Pre-processor, Parser, Elaborator and UHDM Compiler. It provides IEEE Design/TB C/C++ VPI and Python AST API.

ghdl-llvm-next 5.1.1-4.68548a9
Dependencies: gnat@15.2.0-1 patchelf@0.18.0 bash-minimal@5.2.37 clang-toolchain@15.0.7 zlib@1.3.1
Channel: electronics
Location: electronics/packages/compilers.scm (electronics packages compilers)
Home page: https://github.com/ghdl/ghdl/
Licenses: GPL 2+
Synopsis: Compiler for VHDL code using clang backend
Description:

GHDL analyses, elaborates and simulates VHDL sources. It may also be used as an experimental synthesizer backend.

python-cocotb-next 2.0.0.b1-0.b90de9b
Propagated dependencies: python-find-libpython@0.4.1
Channel: electronics
Location: electronics/packages/cosimulation.scm (electronics packages cosimulation)
Home page: https://github.com/cocotb/cocotb
Licenses: Modified BSD
Synopsis: Library for writing HDL test benches in Python
Description:

Coroutine based cosimulation test bench environment for verifying VHDL and Verilog RTL using Python.

emacs-vhdl-ext-next 0.6.1-0.99a4a17
Propagated dependencies: emacs-ag@0.48 emacs-async@1.9.9 emacs-flycheck@35.0 emacs-hydra@0.15.0 emacs-lsp-mode@9.0.1-0.1472333 emacs-ripgrep@0.4.0 emacs-vhdl-ts-mode@0.3.2
Channel: electronics
Location: electronics/packages/emacs-xyz.scm (electronics packages emacs-xyz)
Home page: https://github.com/gmlarumbe/vhdl-ext/
Licenses: GPL 3+
Synopsis: Extensions to Emacs @code{vhdl-mode}
Description:

This package provides additional features and utilities for vhdl-mode, such as snippet selection via hydra, code navigation, code formatting, and code folding.

emacs-wavedrom-mode 0.1.0
Channel: electronics
Location: electronics/packages/emacs-xyz.scm (electronics packages emacs-xyz)
Home page: https://github.com/gmlarumbe/wavedrom-mode
Licenses: GPL 3+
Synopsis: Extensions to emacs vhdl-mode
Description:

This package provides useful extensions on top of emacs vhdl-mode.

emacs-fpga-next 0.3.0-0.f31ced6
Channel: electronics
Location: electronics/packages/emacs-xyz.scm (electronics packages emacs-xyz)
Home page: https://github.com/gmlarumbe/fpga
Licenses: GPL 3+
Synopsis: Emacs fpga & asic utilities
Description:

Emacs-fpga provides emacs facilities to interface with fpga & asic tools from major vendors.

emacs-vhdl-ts-mode-next 0.3.1-0.11f804c
Propagated dependencies: tree-sitter-vhdl@0.1.1-0.a3b2d84
Channel: electronics
Location: electronics/packages/emacs-xyz.scm (electronics packages emacs-xyz)
Home page: https://github.com/gmlarumbe/vhdl-ts-mode/
Licenses: GPL 3+
Synopsis: VHDL Tree-sitter mode
Description:

VHDL-ts-mode provides syntax highlighting, indentation, imenu, which-func, navigation and basic beautify and completion features to navigate and edit VHDL files.

python-hdl-modules 6.2.1
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://hdl-modules.com
Licenses: Modified BSD
Synopsis: Collection of VHDL building blocks, python bindings
Description:

The hdl-modules project is a collection of reusable, high-quality, peer-reviewed VHDL building blocks.

fw-hdl-modules 6.2.1
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://hdl-modules.com
Licenses: Modified BSD
Synopsis: Collection of VHDL building blocks
Description:

The hdl-modules project is a collection of reusable, high-quality, peer-reviewed VHDL building blocks.

fw-open-logic 4.1.0
Dependencies: fw-en-cl-fix@open-logic-2.3.1
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://github.com/open-logic/open-logic
Licenses: LGPL 2.1
Synopsis: VHDL Standard Library
Description:

Open Logic implements commonly used components in a reusable and vendor/tool-independent way.

fw-surf 2.57.0
Propagated dependencies: python-surf@2.57.0
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://slaclab.github.io/surf/
Licenses: non-copyleft
Synopsis: SLAC Ultimate RTL Framework
Description:

Surf is a python library with support functions for VHDL gateware digital design. It provides implementation modules compatible with FPGA and ASIC design.

fw-general-cores 1.1.4
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://ohwr.org/project/general-cores
Licenses: GPL 2 CC0
Synopsis: OHWR General cores
Description:

General cores is a library of widely used cores but still small enough not to require a dedicated repository.

fw-en-cl-fix open-logic-2.3.1
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://github.com/open-logic/en_cl_fix/
Licenses: Expat
Synopsis: Fixed-point math library for FPGA and ASIC development
Description:

fw-en-cl-fix provides low-level fixed-point functionality in both HDL and software languages. This includes basic arithmetic (addition, multiplication, etc) and number format conversions (with rounding and saturation).

fw-neotrng 3.3
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://github.com/stnolting/neoTRNG
Licenses: Modified BSD
Synopsis: True Random Number Generator
Description:

A Tiny and Platform-Independent True Random Number Generator for any FPGA.

fw-general-cores-next 1.1.4-0.dc8d765
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://ohwr.org/project/general-cores
Licenses: GPL 2 CC0
Synopsis: OHWR General cores
Description:

General cores is a library of widely used cores but still small enough not to require a dedicated repository.

fw-vhdl-extras 1.0-0.b8916c4
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://github.com/kevinpt/vhdl-extras
Licenses: Expat
Synopsis: VHDL-extras Library
Description:

This library provides some extra bits of code that are not found in the standard VHDL libraries.

fw-neorv32 1.10.6
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://stnolting.github.io/neorv32/
Licenses: Modified BSD
Synopsis: The NEORV32 RISC-V Processor
Description:

Customizable microcontroller-like system on chip written in platform-independent VHDL.

fw-fpga-cores 0-1.c25d894
Channel: electronics
Location: electronics/packages/fw.scm (electronics packages fw)
Home page: https://github.com/suoto/fpga_cores
Licenses: Cern OHL version 2 - Weakly reciprocal variant
Synopsis: VHDL common RTL code mainly targeting FPGAs
Description:

Fpga-cores code is divided in synthesizable, simulation helpers and testbenches..

ghdl-lsp-next 5.1.1-3.68548a9
Dependencies: gnat@15.2.0-1 patchelf@0.18.0 bash-minimal@5.2.37 clang-toolchain@15.0.7 zlib@1.3.1
Propagated dependencies: python-pytooling@8.7.0 python-pyvhdlmodel-next@0.32.0
Channel: electronics
Location: electronics/packages/lsp.scm (electronics packages lsp)
Home page: https://github.com/ghdl/ghdl-language-server/
Licenses: GPL 2+
Synopsis: Language server based on GHDL
Description:

GHDL Language Server Protocol (LSP) is a server for VHDL based on GHDL.

python-hdlmake-next 3.4dev1-5.c56cb8e
Propagated dependencies: python-networkx@3.4.2
Channel: electronics
Location: electronics/packages/management.scm (electronics packages management)
Home page: https://ohwr.gitlab.io/project/hdl-make/
Licenses: GPL 3+
Synopsis: Generate multi-purpose makefiles for HDL projects
Description:

Hdlmake helps manage and share HDL code by automatically finding file dependencies, writing synthesis and simulation Makefiles.

openfpgaloader-next 0.13.1-5.bdcdea9
Dependencies: eudev@3.2.14 hidapi@0.14.0 libftdi@1.5 libgpiod@2.2.2 libusb@1.0.25 zlib@1.3.1
Channel: electronics
Location: electronics/packages/programming.scm (electronics packages programming)
Home page: https://trabucayre.github.io/openFPGALoader/
Licenses: ASL 2.0
Synopsis: Utility for programming FPGA
Description:

This package provides a program to transfer a bitstream to an FPGA. To use openfpgaloader without root privileges it is necessary to install the necessary udev rules. This can be done by extending udev-service-type in the operating-system configuration file with this package, as in:

(udev-rules-service 'openfpgaloader openfpgaloader #:groups '("plugdev")

Additionally, the plugdev group should be registered in the supplementary-groups field of your user-account declaration. Refer to info "(guix) Base Services" for examples.

python-vsg-next 3.31.0-0.aecb5f6
Propagated dependencies: python-pyyaml@6.0.2
Channel: electronics
Location: electronics/packages/style.scm (electronics packages style)
Home page: https://github.com/jeremiah-c-leary/vhdl-style-guide/
Licenses: GPL 3+
Synopsis: Coding style enforcement for VHDL
Description:

VSG lets you define a VHDL coding style and provides a command-line tool to enforce it.

yosys-latest 0.56
Dependencies: abc-yosyshq@0.58 bash-minimal@5.2.37 graphviz@7.0.1 gtkwave@3.4.0-0.bb978d9 libffi@3.4.6 psmisc@23.7 python@3.11.11 python-click@8.1.8 readline@8.2.13 tcl@8.6.12 xdot@1.4 z3@4.13.0 zlib@1.3.1
Channel: electronics
Location: electronics/packages/synthesis.scm (electronics packages synthesis)
Home page: https://yosyshq.net/yosys/
Licenses: ISC
Synopsis: FPGA Verilog RTL synthesizer
Description:

Yosys synthesizes Verilog-2005.

Page: 12
Total results: 31