_            _    _        _         _
      /\ \         /\ \ /\ \     /\_\      / /\
      \_\ \       /  \ \\ \ \   / / /     / /  \
      /\__ \     / /\ \ \\ \ \_/ / /     / / /\ \__
     / /_ \ \   / / /\ \ \\ \___/ /     / / /\ \___\
    / / /\ \ \ / / /  \ \_\\ \ \_/      \ \ \ \/___/
   / / /  \/_// / /   / / / \ \ \        \ \ \
  / / /      / / /   / / /   \ \ \   _    \ \ \
 / / /      / / /___/ / /     \ \ \ /_/\__/ / /
/_/ /      / / /____\/ /       \ \_\\ \/___/ /
\_\/       \/_________/         \/_/ \_____\/

Enter the query into the form above. You can look for specific version of a package by using @ symbol like this: gcc@10.

API method:

GET /api/packages?search=hello&page=1&limit=20

where search is your query, page is a page number and limit is a number of items on a single page. Pagination information (such as a number of pages and etc) is returned in response headers.

If you'd like to join our channel webring send a patch to ~whereiseveryone/toys@lists.sr.ht adding your channel as an entry in channels.scm.


opensta 2.7.0-1.6e29fcb
Dependencies: cudd@3.0.0 eigen@3.4.0 tcl@8.6.12 tcllib@1.19 zlib@1.3.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/parallaxsw/OpenSTA/
Licenses: GPL 3+
Synopsis: Parallax Static Timing Analyzer
Description:

OpenSTA is a gate level static timing verifier. As a stand-alone executable it can be used to verify the timing of a design using standard file formats.

yosys-clang 0.58
Dependencies: abc-yosyshq@0.58 bash-minimal@5.2.37 graphviz@7.0.1 gtkwave@3.4.0-0.bb978d9 libffi@3.4.6 psmisc@23.7 python@3.11.11 python-click@8.1.8 readline@8.2.13 tcl@8.6.12 xdot@1.4 z3@4.13.0 zlib@1.3.1 clang@13.0.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://yosyshq.net/yosys/
Licenses: ISC
Synopsis: FPGA Verilog RTL synthesizer (Clang variant)
Description:

Yosys synthesizes Verilog-2005.

python-cocotb 2.0.0
Propagated dependencies: python-find-libpython@0.4.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/cocotb/cocotb
Licenses: Modified BSD
Synopsis: Library for writing HDL test benches in Python
Description:

Coroutine based cosimulation test bench environment for verifying VHDL and Verilog RTL using Python.

qucsator-rf 1.0.7
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://ra3xdh.github.io//
Licenses: GPL 2+
Synopsis: RF and microwave circuits simulator
Description:

Qucsator-rf is a command line driven circuit simulator targeted for RF and microwave circuits. It takes a network list in a certain format as input and outputs an XML dataset.

libsigrokdecode 0.5.3-1.71f4514
Propagated dependencies: glib@2.83.3 python@3.11.11
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://www.sigrok.org/wiki/Libsigrokdecode
Licenses: GPL 3+
Synopsis: Library providing (streaming) protocol decoding functionality
Description:

Libsigrokdecode is a shared library written in C, which provides (streaming) protocol decoding functionality.

python-vunit 5.0.0-dev.6
Dependencies: json-for-vhdl-for-vunit@20220106-0.95e848b osvvm@2023.04
Propagated dependencies: python-colorama@0.4.6
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://vunit.github.io
Licenses: MPL 2.0 ASL 2.0
Synopsis: Unit testing framework for VHDL/SystemVerilog
Description:

VUnit features the functionality needed to realize continuous and automated testing of HDL code.

sigrok-firmware-fx2lafw 0.1.7-1.96b0b47
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://www.sigrok.org/wiki/Fx2lafw
Licenses: GPL 2+
Synopsis: Firmware for Cypress FX2 chips
Description:

Fx2lafw is free firmware for Cypress FX2 chips which makes them usable as simple logic analyzer and/or oscilloscope hardware.

m8c 2.2.0
Dependencies: libdecor@0.2.2 libserialport@0.1.2 sdl3@3.2.10
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/laamaa/m8c
Licenses: CC-BY-SA 3.0 Expat Public Domain Zlib
Synopsis: Cross-platform M8 tracker headless client
Description:

The Dirtywave M8 Tracker is a portable sequencer and synthesizer, featuring 8 tracks of assignable instruments such as FM, waveform synthesis, virtual analog, sample playback, and MIDI output. It is powered by a Teensy micro-controller and inspired by the Gameboy tracker Little Sound DJ. m8c is a client for M8 Headless which allows one to install the M8 firmware on any Teensy.

iverilog 12.0
Dependencies: zlib@1.3.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://steveicarus.github.io/iverilog/
Licenses: GPL 2 LGPL 2.1+
Synopsis: FPGA Verilog simulation and synthesis tool
Description:

Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by vvp. For synthesis, the compiler generates netlists in the desired format.

python-vsg 3.35.0
Propagated dependencies: python-pyyaml@6.0.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/jeremiah-c-leary/vhdl-style-guide/
Licenses: GPL 3+
Synopsis: Coding style enforcement for VHDL
Description:

VSG lets you define a VHDL coding style and provides a command-line tool to enforce it.

route-rnd 0.9.3
Dependencies: librnd@4.3.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: http://repo.hu/projects/route-rnd/
Licenses: GPL 2+
Synopsis: Automatic routing for electronics boards
Description:

Route-rnd is a generic external autorouter for PCB using tEDAx file format, part of the RiNgDove EDA suite.

fftgen 0-1.3378b77
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/ZipCPU/zipcpu/
Licenses: LGPL 3+
Synopsis: Generic pipelined FFT core generator
Description:

fftgen produces FFT hardware designs in Verilog.

ieee-p1076 2019
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://IEEE-P1076.gitlab.io
Licenses: ASL 2.0
Synopsis: VHDL libraries corresponding to the IEEE 1076 standard
Description:

Open source materials intended for reference by the IEEE standard 1076, as approved and published by the VHDL Analysis and Standardization Group.

json-for-vhdl 20220905-0.0dc9e31
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/Paebbels/JSON-for-VHDL/
Licenses: ASL 2.0
Synopsis: Parse and query JSON data structures in VHDL
Description:

The JSON-for-VHDL library provides a parser to query JSON data structures from external files on disk. It provides a context to be used in the declarative section of design units.

abc 0.0-7.c8eac75
Dependencies: readline@8.2.13
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://people.eecs.berkeley.edu/~alanmi/abc/
Licenses: non-copyleft
Synopsis: Sequential logic synthesis and formal verification
Description:

ABC is a program for sequential logic synthesis and formal verification.

camv-rnd 1.1.6
Dependencies: librnd@4.3.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: http://repo.hu/projects/route-rnd/
Licenses: GPL 2+
Synopsis: Viewer for electronic boards in CAM file formats
Description:

Camv-rnd is a viewer for PCB supporting gerber, excellon and g-code. It is part of the RiNgDove EDA suite.

python-migen 0.9.2-1.6e3a9e1
Propagated dependencies: python-colorama@0.4.6
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://m-labs.hk/gateware/migen/
Licenses: FreeBSD
Synopsis: Python toolbox for building complex digital hardware
Description:

Migen FHDL is a Python library that replaces the event-driven paradigm of Verilog and VHDL with the notions of combinatorial and synchronous statements, has arithmetic rules that make integers always behave like mathematical integers, and allows the design's logic to be constructed by a Python program.

nextpnr-ice40 0.9-0.ad76625
Dependencies: apycula@0.27 boost@1.83.0 corrosion@0.5.1 eigen@3.4.0 pybind11@2.13.6 python@3.11.11 qtbase@5.15.16 qtwayland@5.15.16 qtimgui@0.0-0.48d64a7
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/YosysHQ/nextpnr/
Licenses: ISC
Synopsis: Place-and-Route tool for FPGAs
Description:

Nextpnr is a portable FPGA place and route tool.

xschem 3.4.7
Dependencies: gawk@5.3.0 tcl@8.6.12 tk@8.6.12 libxpm@3.5.17 cairo@1.18.4 libxrender@0.9.12 libxcb@1.17.0
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://xschem.sourceforge.io/stefan/index.html
Licenses: GPL 2+
Synopsis: Hierarchical schematic editor
Description:

Xschem is an X11 schematic editor written in C and focused on hierarchical and parametric design. It can generate VHDL, Verilog or Spice netlists from the drawn schematic, allowing the simulation of the circuit.

python-edalize 0.6.1
Propagated dependencies: python-jinja2@3.1.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/olofk/edalize/
Licenses: FreeBSD
Synopsis: Python Library for interacting with EDA tools
Description:

This package implements a functionality to create project files for supported tools and run them in batch or GUI mode. All EDA tools such as Icarus, Yosys, ModelSim, Vivado, Verilator, GHDL, Quartus etc get input HDL files (Verilog and VHDL) and some tool-specific files (constraint files,memory initialization files, IP description files etc). Together with the files, perhaps a couple of Verilog `defines, some top-level parameters/generics or some tool-specific options are set.

sch-rnd 1.0.10
Dependencies: librnd@4.3.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: http://repo.hu/projects/sch-rnd/
Licenses: GPL 2+
Synopsis: Scriptable editor of schematics for electronics boards
Description:

Sch-rnd is a standalone and workflow agnostic schematics capture tool for PCB, part of the RiNgDove EDA suite.

xoscope 2.3-0.0.0.d97b9b1
Dependencies: alsa-lib@1.2.11 comedilib@0.13.0 fftw@3.3.10 gtk+@3.24.49 gtkdatabox@1.0.0
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://xoscope.sourceforge.net/
Licenses: GPL 2+
Synopsis: Digital oscilloscope
Description:

Xoscope is a digital oscilloscope that can acquire signals from ALSA, ESD, and COMEDI sources. This package currently does not include support for ESD or COMEDI sources.

yosys 0.58
Dependencies: abc-yosyshq@0.58 bash-minimal@5.2.37 graphviz@7.0.1 gtkwave@3.4.0-0.bb978d9 libffi@3.4.6 psmisc@23.7 python@3.11.11 python-click@8.1.8 readline@8.2.13 tcl@8.6.12 xdot@1.4 z3@4.13.0 zlib@1.3.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://yosyshq.net/yosys/
Licenses: ISC
Synopsis: FPGA Verilog RTL synthesizer
Description:

Yosys synthesizes Verilog-2005.

sigrok-cli 0.7.2
Dependencies: glib@2.83.3 libsigrok@0.5.2-2.f06f788 libsigrokdecode@0.5.3-1.71f4514
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://sigrok.org/wiki/Sigrok-cli
Licenses: GPL 3+
Synopsis: Command-line frontend for sigrok
Description:

Sigrok-cli is a command-line frontend for sigrok.

Page: 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796
Total results: 67086