_            _    _        _         _
      /\ \         /\ \ /\ \     /\_\      / /\
      \_\ \       /  \ \\ \ \   / / /     / /  \
      /\__ \     / /\ \ \\ \ \_/ / /     / / /\ \__
     / /_ \ \   / / /\ \ \\ \___/ /     / / /\ \___\
    / / /\ \ \ / / /  \ \_\\ \ \_/      \ \ \ \/___/
   / / /  \/_// / /   / / / \ \ \        \ \ \
  / / /      / / /   / / /   \ \ \   _    \ \ \
 / / /      / / /___/ / /     \ \ \ /_/\__/ / /
/_/ /      / / /____\/ /       \ \_\\ \/___/ /
\_\/       \/_________/         \/_/ \_____\/

Enter the query into the form above. You can look for specific version of a package by using @ symbol like this: gcc@10.

API method:

GET /api/packages?search=hello&page=1&limit=20

where search is your query, page is a page number and limit is a number of items on a single page. Pagination information (such as a number of pages and etc) is returned in response headers.

If you'd like to join our channel webring send a patch to ~whereiseveryone/toys@lists.sr.ht adding your channel as an entry in channels.scm.


python-pydigitalwavetools 1.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/Nic30/pyDigitalWaveTools/
Licenses: Expat
Synopsis: Library to manipulate digital wave files
Description:

Pydigitalwavetools is a Python library to parse, write and format digital wave files in VCD format, a standardized ASCII format used to store simulation data from Verilog and other hardware description languages.

verilator 5.040
Dependencies: perl@5.36.0 python@3.11.11 systemc@3.0.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://www.veripool.org/verilator/
Licenses: LGPL 3
Synopsis: Verilog/SystemVerilog simulator
Description:

Verilator transforms the specified Verilog or SystemVerilog code by reading it, performing lint checks, and optionally inserting assertion checks and coverage-analysis points. It outputs single- or multi-threaded .cpp and .h files.

aacircuit 0-0.18635c8
Dependencies: bash-minimal@5.2.37 gtk+@3.24.49 python-bresenham@0.2.1 python-platformdirs@4.3.6 python-pycairo@1.28.0 python-pyclip@0.7.0 python-pygobject@3.50.0 python-pypubsub@4.0.3
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/Blokkendoos/AACircuit
Licenses: GPL 3+
Synopsis: Draw electronic circuits with ASCII characters
Description:

This is a pythonized, kind of reverse engineered version of original AACircuit written by Andreas Weber in Borland Delphi. The idea and GUI layout are also taken from the original.

osvvm 2025.06a
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://osvvm.github.io/Overview/Osvvm1About.html/
Licenses: ASL 2.0
Synopsis: The OSVVM VHDL Verification Libraries and Scripts
Description:

OSVVM is a verification methodology that defines a VHDL verification framework, verification utility library, verification component library, scripting API, and co-simulation capability for FPGA or ASIC verification.

python-cocotb-bus 0.2.1-2.f5578a4
Propagated dependencies: python-cocotb@2.0.0 python-packaging@25.0 python-scapy@2.6.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/cocotb/cocotb-bus/
Licenses: Modified BSD
Synopsis: Cocotb reusable tools
Description:

Cocotb-bus provides a set of utilities, test benches and reusable bus interfaces to be used with cocotb.

openboardview 9.95.0
Dependencies: fontconfig-minimal@2.14.0 gtk+@3.24.49 imgui@1.87 orangeduck-mpc@0.9.0-0.7c910e9 sdl2@2.30.8 sqlite@3.39.3 zlib@1.3.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/OpenBoardView/OpenBoardView
Licenses: Expat
Synopsis: Viewer for BoardView files
Description:

OpenBoardView is a viewer for BoardView files, which present the details of a printed circuit board (PCB). It comes with features such as:

  • Dynamic part outline rendering, including complex connectors

  • Annotations, for leaving notes about parts, nets, pins or location

  • Configurable colour themes

  • Configurable DPI to facilitate usage on 4K monitors

  • Configurable for running on slower systems

  • Reads FZ (with key), BRD, BRD2, BDV and BV* formats.

xyce-serial 6.8
Dependencies: fftw@3.3.10 lapack@3.12.1 suitesparse@5.13.0 trilinos-serial-xyce@12.12.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://xyce.sandia.gov/
Licenses: GPL 3+
Synopsis: High-performance analog circuit simulator
Description:

Xyce is a SPICE-compatible, high-performance analog circuit simulator, capable of solving extremely large circuit problems by supporting large-scale parallel computing platforms. It also supports serial execution.

pcb-rnd 3.1.7b
Dependencies: librnd@4.3.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: http://repo.hu/projects/pcb-rnd/
Licenses: GPL 2+
Synopsis: Modular layout editor
Description:

Pcb-rnd is a Printed Circuit Board layout editor, part of the RiNgDove EDA suite.

prjpeppercorn 1.8
Dependencies: boost@1.83.0
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/YosysHQ/prjpeppercorn/
Licenses: ISC
Synopsis: GateMate FPGAs bitstream tools
Description:

Prjpeppercorn includes programming tools for GateMate architecture from Cologne Chip. It also provides data needed to produce a nextpnr chip database Cologne Chip's GateMate architecture.

prjtrellis 1.4-1.92345b7
Dependencies: openocd@0.12.0 boost@1.83.0 pybind11@2.13.6
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/YosysHQ/prjtrellis/
Licenses: Expat
Synopsis: Placement and routing for ECP5 FPGAs
Description:

Project Trellis is a Nextpnr backend compatible with ECP5 FPGAs. The following features are currently available:

  • logic slice functionality, including carries

  • distributed RAM inside logic slices

  • all internal interconnect

  • basic IO, including tristate

  • block RAM, using inference or manual instantiation

  • multipliers using manual instantiation

  • global networks and PLLs

  • transcievers (DCUs.)

libngspice 44.2
Dependencies: openmpi@4.1.6
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://ngspice.sourceforge.io/
Licenses: LGPL 2.0+ non-copyleft Modified BSD Public Domain
Synopsis: Mixed-level/mixed-signal circuit simulator
Description:

Ngspice is a mixed-level/mixed-signal circuit simulator. It includes Spice3f5, a circuit simulator, and Xspice, an extension that provides code modeling support and simulation of digital components through an embedded event driven algorithm.

nextpnr 0.9-0.ad76625
Dependencies: apycula@0.27 boost@1.83.0 corrosion@0.5.1 eigen@3.4.0 pybind11@2.13.6 python@3.11.11 qtbase@5.15.16 qtwayland@5.15.16 qtimgui@0.0-0.48d64a7
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/YosysHQ/nextpnr/
Licenses: ISC
Synopsis: Place-and-Route tool for FPGAs
Description:

Nextpnr is a portable FPGA place and route tool.

libfst 1.0.0-0.6a52070
Dependencies: bzip2@1.0.8
Propagated dependencies: zlib@1.3.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/gtkwave/libfst/
Licenses: Expat FreeBSD
Synopsis: Fast Signal Trace (FST) format waveforms library
Description:

Libfst is a small library used to read and write FST format waveforms.

systemc 3.0.1
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://systemc.org/
Licenses: ASL 2.0
Synopsis: Library for event-driven simulation
Description:

SystemC is a C++ library for modeling concurrent systems, and the reference implementation of IEEE 1666-2011. It provides a notion of timing as well as an event-driven simulations environment. Due to its concurrent and sequential nature, SystemC allows the description and integration of complex hardware and software components. To some extent, SystemC can be seen as a Hardware Description Language. However, unlike VHDL or Verilog, SystemC provides sophisticated mechanisms that offer high abstraction levels on components interfaces. This, in turn, facilitates the integration of systems using different abstraction levels.

icestorm 1.1
Dependencies: libftdi@1.5
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://prjicestorm.readthedocs.io/
Licenses: ISC
Synopsis: Bitstream tools for Lattice iCE40 FPGAs
Description:

Project IceStorm aims at documenting the bitstream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bitstream files.

python-surf 2.57.0
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://slaclab.github.io/surf/
Licenses: non-copyleft
Synopsis: SLAC Ultimate RTL Framework
Description:

Surf is a python library with support functions for VHDL gateware digital design. It provides implementation modules compatible with FPGA and ASIC design.

pulseview 0.4.2
Dependencies: boost@1.83.0 glib@2.83.3 glibmm@2.82.0 libsigrok@0.5.2-2.f06f788 libsigrokdecode@0.5.3-1.71f4514 qtbase@5.15.16 qtsvg@5.15.16
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://www.sigrok.org/wiki/PulseView
Licenses: GPL 3+
Synopsis: Qt based logic analyzer, oscilloscope and MSO GUI for sigrok
Description:

PulseView is a Qt based logic analyzer, oscilloscope and MSO GUI for sigrok.

libserialport 0.1.2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://sigrok.org/wiki/Libserialport
Licenses: LGPL 3+
Synopsis: Library for using serial ports
Description:

Libserialport is a minimal shared library written in C that is intended to take care of the OS-specific details when writing software that uses serial ports.

qucs-s 25.2.0
Dependencies: bash-minimal@5.2.37 ngspice@44.2 octave@10.3.0 qtbase@6.9.2 qtcharts@6.9.2 qtsvg@6.9.2 qtwayland@6.9.2 qucsator-rf@1.0.7 xyce-serial@6.8
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://ra3xdh.github.io/
Licenses: GPL 2+
Synopsis: GUI for different circuit simulation kernels
Description:

Qucs-S provides a fancy graphical user interface for a number of popular circuit simulation engines. The package contains libraries for schematic capture, visualization and components. The following simulation kernels are supported:

  • Ngspice (recommended)

  • Xyce

  • SpiceOpus

  • Qucsator (non-SPICE)

python-myhdl 0.11-0.7dc29c2
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: http://www.myhdl.org/
Licenses: LGPL 2.1+
Synopsis: Python as a Hardware Description Language
Description:

This package provides a library to turn Python into a hardware description and verification language.

gtkwave 3.4.0-0.bb978d9
Dependencies: gtk@4.16.13 gtk+@3.24.49 libfst@1.0.0-0.6a52070
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/gtkwave/gtkwave
Licenses: GPL 2+ Expat Tcl/Tk
Synopsis: Waveform viewer for FPGA simulator trace files
Description:

This package is a waveform viewer for FST files.

symbiyosys 0.58
Dependencies: abc-yosyshq@0.58 boolector@3.2.2 git-minimal@2.50.0 python@3.11.11 python-click@8.1.8 python-xmlschema@4.1.0 z3@4.13.0 yices@2.6.4 yosys@0.58
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://github.com/YosysHQ/sby/
Licenses: ISC
Synopsis: Formal hardware verification with yosys
Description:

SimbyYosys is a front-end program for yosys-based formal hardware verification flows.

xyce-parallel 6.8
Dependencies: fftw@3.3.10 lapack@3.12.1 suitesparse@5.13.0 trilinos-parallel-xyce@12.12.1 zlib@1.3.1
Propagated dependencies: openmpi@4.1.6
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://xyce.sandia.gov/
Licenses: GPL 3+
Synopsis: High-performance analog circuit simulator
Description:

Xyce is a SPICE-compatible, high-performance analog circuit simulator, capable of solving extremely large circuit problems by supporting large-scale parallel computing platforms. It also supports serial execution.

comedilib 0.13.0
Channel: guix
Location: gnu/packages/electronics.scm (gnu packages electronics)
Home page: https://www.comedi.org/
Licenses: LGPL 2.1
Synopsis: Library for Comedi
Description:

Comedilib is a user-space library that provides a developer-friendly interface to Comedi devices. Comedi is a collection of drivers for a variety of common data acquisition plug-in boards. The drivers are implemented as a core Linux kernel module providing common functionality and individual low-level driver modules.

Page: 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796
Total results: 67086